News
Hot from the Oven
You are here: News

Open-Source Updates
Latest Updates Open-Source Software

17 April 2024 | Findlay Shearer

Lat­est Up­dates Open-Source Soft­ware

Repo name: lin­ux-socf­p­ga Branch name: socf­p­ga-6.7 Tag name: rel_­socf­p­ga-6.7_24.04.01_pr
ID Com­ment GIT Com­mit
com­mu­ni­ty HSD#15015694043 firmware: svc: add flag to al­lo­cate dma-ca­pa­ble mem­o­ry b842177ea8126e05c20758f3a5c9432343040ead
HSD #15014949520 dts: socf­p­ga_ag­ilex5: Up­date to arm pmu v3 738f754b7d156723e6457c38d279e426776c5460
com­mu­ni­ty HSD#14015549971 usb: xhci: Add quick to con­fig­ure dma bit mask to 40 bits 4156e6385097c4cf3a991113a5f1945b7e8c928c
com­mu­ni­ty HSD#14021568402 ar­m64: dts: re­move du­pli­cate SDU_PMU and SMMU_PMU en­try d01fd928ae­b54923548fc3ea0996f5772b0137a2
com­mu­ni­ty HSD#14021691079 ar­m64: dts: up­date ag­ilex5 PMU en­try in­ter­rupt prop­er­ty 771181­fab69e0033414d8a76b98d6f4f558c9e48
com­mu­ni­ty HSD#14021568402 ar­m64: dts: add SDU_PMU and SMMU_PMU en­try for ag­ilex5 7722fd­cd­d­c7d94a7e26­da553a885e45898a3d861
com­mu­ni­ty HSD#15013331220 dts: ag­ilex5: en­able dwc2 in de­vice tree 83c5e3c24e4796d0c61fd­d2f68d29508312c354b
com­mu­ni­ty HSD#14021577699: dts: ag­ilex5: up­date dmac data width param a04f99266048c6119d916349011f7918557d34a3
com­mu­ni­ty HSD#14015549971 ar­m64: dts: ag­ilex5 move iom­mu prop­er­ty to dwc3 node for us­b31 b60­da37d­d4e2253336b7379b22a31b83027842d9
com­mu­ni­ty HSD#15013331339: dts: ag­ilex5: refac­tor usb dr_­mode con­fig­u­ra­tion b866f­bf86d­cb0c2b71d90188272b918e01be4323
com­mu­ni­ty HSD#15015508123: firmware: stratix10-svc: add ad­dress off­set for RECONFIG_DATA_SUBMIT smc com­mand 2f1e504380777595d719e7257fc­c5c5bc8e1d8e4
com­mu­ni­ty HSD#15015508123: firmware: stratix10-svc: fix time­out is­sue dur­ing fpga con­fig 26a6443f13c041d2b91a2811e­f305234d70a0127
com­mu­ni­ty HSD#14015549956: firmware: stratix10-svc: En­able us­age of SMMU for SVC dri­ver a011a7d2bec82490c734c8c73a67792784290bc3
com­mu­ni­ty HSD#15014927993: dts: ag­ilex5: Re­fac­tor smmu en­able­ment for ag­ilex5 4c4221a6a016abf1f000b­b3b1c0d3ba79ed­f05af
com­mu­ni­ty HSD#14015549956: dts: ag­ilex5: Add sup­port for FPGA con­fig us­ing SMMU 5c480afa5d0d­b8ed­b42e758658d29e445e4d2735
com­mu­ni­ty HSD#18033016644 ar­m64: dts: Up­date ag­ilex5 swvp dts with extcon for dwc3 con­troller df6d298b­b53b497a24918fd1819899c22f1be7e6
HSD #15015374273 ar­m64: dts: ag­ilex5: Up­date the mem­o­ry reg to 0x0 e478c6b923a1d81f56712a0c664130d­d7437c4c4
HSD #14015549974 arch: ar­m64: dts: socf­p­ga_ag­ilex5: En­able eMMC in dts dade­f465b47d948f93bcbc7f78fd5a00b­d­c0e7e1
HSD #15013345463 arch: ar­m64: dts: Added the rsu-han­dle for Uboot to re­trieve qspi_­boot 991710bf33baac1d81b9c­c4de62b5a0ba3b­b3c53
com­mu­ni­ty HSD 14017166971: arch: ar­m64: boot: dts: Up­dat­ed QSPI Flash lay­out for UBIFS b47142a13723808b450386b­d53d9802b4d6dbed2
HSD #15014949520 dts: socf­p­ga_ag­ilex5: En­able High­speed SDCard sup­port 6e5a493360f8d­f02842a6f7941c1e54fdee9e­ce9
com­mu­ni­ty arch: ar­m64: dts: socf­p­ga_ag­ilex5_­socdk: Dis­able 1.8v sd­card volt­age e709acb9bf2e­b964703b69366f19c47523fc1e21
HSD #15015532380 fpga: al­tera-cvp: Fixed cred­it reg­is­ter 4a1fe1735adb­b513a51cfc5bfc4f592bf­f44238b

Repo name: arm-trust­ed-firmware Branch name: socf­p­ga_v2.9.0 Tag name: rel_­socf­p­ga_v2.9.0_24.04.01_pr
ID Com­ment GIT Com­mit
com­mu­ni­ty fix(in­tel): f2s­dram bridge quick write thru failed e4772326f732c­c280851ec79d7e002ecb9c10e20

Repo name: arm-trust­ed-firmware Branch name: socf­p­ga_v2.9.1 Tag name: rel_­socf­p­ga_v2.9.1_24.04.01_pr
ID Com­ment GIT Com­mit
com­mu­ni­ty fix(in­tel): f2s­dram bridge quick write thru failed 41971774a105de01db­bc101ce5d9d­c5a2f86c712

Repo name: ghrd-socf­p­ga Branch name: mas­ter Tag name: rel_­mas­ter_24.04.01_pr
ID Com­ment GIT Com­mit
com­mu­ni­ty ag­ilex5_­soc_de­vk­it_ghrd: Re­name the fold­er to ag­ilex5 2ab914a­ca27f­ba4d3af6c­c9d0b­d50bb­d40c631ea
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: Re­move the notes in readme in step 4. 3f­f3a445387389d96e4b355f86f385a3b­b115­ca5
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: Up­date README steps on how to use Make­file 5a4408c0bf29f5181303212e­f0119650a8d6ec54
com­mu­ni­ty Make­file set to ear­li­er de­fault val­ues but just change se­lec­tion on DC f5c48ad2a010b1210552a88d6288ad68ab95900a
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: fix con­nec­tion for ex­t_h­p­s_f2s­dram_­mas­ter (#15) f7bb­b40e926f865f­bc­fa7d41674a85a1be7135e4
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: En­able BBR 933 sin­gle and dual chan­nel pre­set 94a09d6c50140cb7cbd­f328e280a87a11348fea3
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: Move qsys span ex­ten­der into de­bug_en, re­move not rel­e­vant er­ror mes­sage 2277f161735f18247362d­bcd8a16a378039f­f551
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: Fix GHRD con­di­tion­al script­ing for regtest 8ba6c3e73ea2d89a0cb­d2466f686554cb­d­d26947
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: Con­nect an­oth­er jtag_h­p­s_­mas­ter to f2s bridge 0d3b68b07d588b­d4817b1b8d365b0b129b6516ae
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: Dis­able DFL_ROM and SSGDMA IP in base­line. fa30b1d56­dadbf6a4f5972545238cb49a4bf3b85
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: Change prem dk con­f_­done to io12 0a9d4c667fd­bea231ec7b766ce­b54d­c766ec8d1c
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: En­able rgmii sdc for tsn_­con­fig_2 de­sign 4a6f9d0236­daaad6ae88311ee1c43b891e10f232
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: Up­date DDR4 pre­set. Up­date the emif ref clk sdc. bb25c4cfed8afc58ce670c­fa10bac3693cf65653
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: fix top lev­el syn­tax er­ror for mod­u­lar de­vk­it (the SOM) board en­able­ment 48595c53d14611ad597d44105753435220f4cf03
com­mu­ni­ty ag­ilex_­soc_de­vk­it_ghrd: En­able pin swiz­zle and un­used DQ pin as­sign­ment for FP82 sm_­soc_de­vk­it_ghrd: En­able pin swiz­zle and un­used DQ pin as­sign­ment for de­vk­it board 2408c7d031c59a2c6a6a7f25e366112b1ce7­fabf
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: En­able pre­set val­ue for BBR LPDDR5 24.1 6311a1a164e1326c3f7c3a62f791679f4f­fa678a
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: En­able the pre­set val­ue for cvr LPDDR4 emif set­ting. fb272e05fc87fe40e820909333641e6ced6ac5c8
com­mu­ni­ty ag­ilex_­soc_de­vk­it_ghrd: tem­po­rary dis­able swiz­zle due to con­flict pin as­sign­ment 98d­f6f335a341e2f55ae9b34b­d2cba1b1d4be087
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: Dis­able emif swiz­zle due to 24.1 EMIF IP up­date 73325909ac81e7877ed­c8c05f278f­b27e98c164f
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: Re­move BBR EMIF pre­set due to EMIF IP 24.1 up­date a649478e68c4d099c04­ef­f7ec155b3b1c4350f91
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: En­able 2 usb0 and usb1 in top lev­el file for DC8 pin mux. 0015e85e176b7260c2ed01699def­b8f8b1bc5094
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: En­able f2s­dram_adapter 15a77d8c8218e2ebbe41595e80cee00a42c08076
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: Emif IP up­date on 24.1 and dis­able pre­set since pre­set can­not reuse from 23.4. e9b0b3d1a9d­f9281516a0a57585a64250868a51d
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: re­move board de­pen­den­cy and reuse board ID on oth­er board e422a9e3be­b09­da50d91­ca7240e­ca2e6c2bea469
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: Fix emac1 for fpga rgmii HPS ex­port pin 86e7156bad1715816ae7522253f­bf6d4c19edffb
com­mu­ni­ty sm_­soc_de­vk­it_ghrd: add dis­crep­an­cy file from sm_ghrd branch 06e8b1f90f05f19084d2779ec2b57ac96aa9204e
com­mu­ni­ty fix emac1 as in­stance for fpga rgmii ex­port de­ce­fe8d553e1bf2e1018c­c30c5f­b139c2f5fcb4
com­mu­ni­ty En­able HPS boot_­core_s­e­lect pa­ra­me­ter e5e5f0ea014f51f86844487d06f­fe3ebf7be9e67
com­mu­ni­ty Adapt ss­gdma con­nec­tions to f2s­dram dc11ee073434bf6d­f4b­bc9­ca90299ce65501e610
com­mu­ni­ty En­able BBR board with 1x16 and 2x16 topol­o­gy. 8358e8816769b74101a50357b5575cbd­f24e­f4c5
com­mu­ni­ty En­able ddr4 pre­set for Devk­it with 6s de­vice. 4e5d­c399f­f34e3b­b6­fa59b107fd4652b9bf7b354
com­mu­ni­ty En­able cvr lpddr4 pre­set for 800,933,1066,1200,1333Mhz c15a2511c3e670d28d67a415315603d1ee9f2084
com­mu­ni­ty En­able dev kit 933Mhz pre­set val­ue ca0f107b770969ec7d­d24d­ed11468802c53fee7e
com­mu­ni­ty Change hp­s_cm_io to 7 ac­cord­ing to emmc she­mat­ic. 8fd­d6254413b­b8854234­cac09bed946fc287e­fc7
com­mu­ni­ty Fixed er­ror where F2S Ad­dress width from MMake com­man line was not pro­pogat­ed through the deis­gn cor­rect­ly 1a2102126f71e3c­ce2e400b40b6866d971ed­b5d5
com­mu­ni­ty Fixed build er­ror when user set PROJECT_NAME pa­ra­me­ter e46f619b24d8f­bce56e1670b7bed7­fa7c76a4048
com­mu­ni­ty Add make pa­ra­me­ter­i­za­tion for chang­ing MPU Core/L3 pow­er set­tings e263d2669417fd8307652280961­fad­b7f2980582
com­mu­ni­ty Added make pa­ra­me­ters to sup­port build in­put for Bridge data/ad­dress Widths f8bf355f8080193208539071dedae5fc004ed­b10
com­mu­ni­ty Add gpio into iob_14 ac­cord­ing to de­bug2 dc schemat­ic dfeb­d25b3f1e486d9a587fe88a7464f8d6d8611c
com­mu­ni­ty Match the Nand and de­bug2 dc osc clock with schemat­ic. 858404902f6ba4bc41fc53b0de5bc6972d100b1c
com­mu­ni­ty Set lbm emif pre­set val­ue dfd87d60b9f05bc1f0d67d788f66de8e0947bf35
com­mu­ni­ty Use in­tel_user_rst_­clk­gate IP a6d82a9419669477d­b0f9698329b20401e147e6b
com­mu­ni­ty Re­move com­pli­men­ta­ry re­f­clk pin as­sign­ment due to fit­ter lim­i­ta­tion b1fd5d­c1b3b100443475f34448a5d0669a3de­b04
com­mu­ni­ty Re­place GPIO1_IO4 to sd­mmc wpro­tect in IOB_5 pin mux for HSD #15014837410 f31246ff­f03831795e8137988f2478ad103c68a5
com­mu­ni­ty Solve syn­tax er­ror due to \ e2d1d1d0bc746e7d5ff­b4532d59320628b328961
com­mu­ni­ty En­able watch­dog re­set, warm re­set, cold re­set from HPS 122f1e­f859610d531e236bec8e9f­f8621e331224
com­mu­ni­ty Edit the x32 and x40 pin asign­ment ta­ble ac­cord­ing to the schemat­ic 3fa69c81e3b4780b45bea9ab06cb16c25b228d86
com­mu­ni­ty En­able HPS_EMIF_MEM_CLK_FREQ_MHZ and HPS_EMIF_REF_CLK_FREQ_MHZ with mul­ti­ple pa­ra­me­ter 61c­c68350c4e5a502f­b87c9918234ae9a4f361b2
com­mu­ni­ty Fix $hp­s_­clk_­source de­pen­den­cy in­stants fd09ce020672860f45­da349c­c­fa2b97e36ee9242
com­mu­ni­ty Set PHY_AC_PLACEMENT_AUTO_BOOL to false c3101b9e7d0a89e91f235f080d3b3ed4913c7d36
com­mu­ni­ty Add quick ex­am­ple of sub­sys­tem qsys tcl ad­di­tion ex­am­ple al­t_­con­struc­t_­sub­sys_f­p­ga_rgmii.tcl from PD gen­er­at­ed sys­tem script file f7938a959b68b33bc7c4c8d485­fa5e67876ff­fe7
com­mu­ni­ty Re­move hp­s_s­d­mm­c8b_q3_en vari­able da8ff­b­d­d6574d6323609c5ba4b01­ca3b468110dd
com­mu­ni­ty make f2s bridge as axi4 in­stead of ace-lite 29974d82b7237d6a3a4e0­fac0b3­da1039b­d6­da2d
com­mu­ni­ty en­able sSGDMA into pe­riph­er­al sub­sys­tem 6950702e959a2c383d3cea9a96f106472b039c96
com­mu­ni­ty Up­date USB top lev­el port con­di­tion e79be6b49c­c2569b­d6d47c35c23cb­b42c8be645c
com­mu­ni­ty delete the board name that not rel­e­vant to sm 7b4ecd­ce1865d70fd35a5819d212e5d98b484252
com­mu­ni­ty Up­date the board name for Stratix Jtag User Code ID 2b­d7805a4bae16­da4212f4535f­f487eea5405612
com­mu­ni­ty add JTAG con­nec­tion to USB31 xcvr phy slave abc2617e2031a2f44733a0ab4c04ec91d11bf57d
com­mu­ni­ty In­tro­duce non-pa­ra­me­ter­i­z­able vari­able sec­tion as pre­fix acf3d466b3a1f2d18b406750afe­f3d5ee3c5874e
com­mu­ni­ty en­able BYTE_SWIZZLE for emif IP b174519a7c63370c75714c42d0d705­da600ed47f
com­mu­ni­ty Clean up pa­ra­me­ters nam­ing 6ede94cd20611786b­b83e9a13dec56491601b043
com­mu­ni­ty Re­name CVR board info 117ba8­ca39302e270041735f5acb6c37f19cd404
com­mu­ni­ty Add o_p­ma_cu_­clk con­nec­tion for USB3.1 ab­b73c91c4a5a8496f3aae16b­d­d14c80868­fac03
com­mu­ni­ty HSD 15014769456 to con­fig­ure the MPU CCU Clock Divider to Div2 and MPU Pe­riph­er­al Clock Divider to Div4 f506b­daea21f36611a0c90d69760b9a818f129dc
com­mu­ni­ty Fix CI build com­pi­la­tion er­ror for tsn_aic0 dc and up­date emmc io48 pa­ra­me­ter 6353291ba27205f4e667e7436ba2034951c023c4
com­mu­ni­ty Dis­able the com­mand but in­ject in qsf. 880fe1e8a75f5ea5bc3e876124e5bc224a50b221
com­mu­ni­ty En­able to gen­er­ate sof in out­put files 3862a3d­b24a9d127ee958c8c65a43a34084612f2
com­mu­ni­ty Added mUCV LBM board sup­port (#3) 5b466ade5­ca050835de46c5ba5845e4803bc8ab0
com­mu­ni­ty up­date README 94c7c459d1a7aee8f8f2c7b01f69942f90f­b224d
com­mu­ni­ty Up­date README for­mat c6653973f844369b824022fe4bad­d8605fd­d1578
com­mu­ni­ty Up­date README.md. Tem­po­rary ad­just free clock rate. edeef015574e009d9d6b47df­faf­b1d714ead­3f5d
com­mu­ni­ty En­able USB3.1 d55415d61855c0a46de84c747af7908528501f59
com­mu­ni­ty En­able de­bug2 DC 5b0078b2484170ad­cbc43bf472169744ffd47­da7
com­mu­ni­ty En­able HPS Clock source pin to use same main clock pin 9a19b5f48d53d6ce210c9f­f8ed926b­da176b5997
com­mu­ni­ty En­able HPS Clock Source op­tion d2fe9a7596389d9c1a49c77f­f434ce90cbe1e230
com­mu­ni­ty re­move du­pli­cat­ed fold­er 3128273248c620d­b3fd2f45a03cf440d3185cde7
com­mu­ni­ty cor­rect pow­er man­age­ment, SDC 0809313ec67146914d­d9b52e­f951fd5fd47d5a05
com­mu­ni­ty Add TSN con­fig2 (FPGA RGMII) and pe­riph­er­al sub­sys irq rout­ing f4cd84b7f1fedaea0583e67cd769579f3e6583a6
com­mu­ni­ty switch HPS pin­mux from IO0 to IO10 for HPS ref­er­ece clock source 5f1881d4800c96d246f3e40bc930e­b6e70826444
com­mu­ni­ty Fix tsn_aic0 pin­mux with ad­di­tion­al SDMMC:WPROT 09140104439b4ad4631c27128a6176b8b3743846
com­mu­ni­ty To solve the er­ror on tsn_aic0 dc io48 2b8e86e499902a99f4db­db­d3b­b4834a08­daab9c6
com­mu­ni­ty Am­mend SDMMC quad­rant of AIC0 pin­mux as­sign­ment 1fa8e899a316e399c40bcd43e­b2b82e­fc7920622
com­mu­ni­ty En­able eMMC dc 7b77634c21d88aed­d1064b0229fc63d­c­ce5a1792
com­mu­ni­ty force to en­able hp­s_­sub­sys when DAUGHTER_CARD is none af1­ca23­fa45ed49867ac­ce54122c90d6d7cbbe3d
com­mu­ni­ty set 'build' fold­er to be auto-gen­er­at­ed by make flow 3d23e5cf­f48f6682413e363f­b0a88ad4c239b538
com­mu­ni­ty write back all ef­fec­tive paramters to 'build/con­fig' 6be­f5a034ac69c5d­cf­b082af0e3a463694e­bc228
com­mu­ni­ty write back all ef­fec­tive paramters to 'build/con­fig' 67d20494­daaaba59d90fd04654ae000af0d6d3b5
com­mu­ni­ty En­able NAND dc for 23.4 11ab168d80afa0ac2fc78d9f3712c58501568e5b
com­mu­ni­ty fix is­sue of "/bin/bash: Ver­sion: com­mand not found" 966ba13657b8e2686e82f7d­f0c5fe880076d9a6a
com­mu­ni­ty Change the emif0 name to io96b0 for crv and DK board e280­ca1a85e54164a3b057f635d48fcf­b4b70454
com­mu­ni­ty Change the emif0 name to io96b0 9d­f918c9846389b45a8fd982d0908b­d4c6e1963d
com­mu­ni­ty change the acion of 'make help' and 'make con­fig': 'make con­fig' re­trives all vari­ables in Make­file(s) and dis­play the val­ue. 'make help' dis­plays the avail­able make TARGET 85cd6bed9a04a7201a5c5513abf939068b62f6f1
com­mu­ni­ty Re­mane hid­den to SI Devk­it of­fi­cial name 0aa1863d7e47cbb­b22bf­ca7c­cd­ab­b037e55bad2c
com­mu­ni­ty Mod­i­fi­ca­tion for the key and ranks for lpddr4 e7c26ea0435819408276a13ba31b70f­f3b619322
com­mu­ni­ty En­abled the LPDDR4 for CVR MUDV 83359ee40173d50f8afc8249­fa1203f925520364
com­mu­ni­ty Ex­port the USB in­ter­face 1616d22d9d31f52f5d8331d­d49b2d833­da13061a
com­mu­ni­ty Up­date the pin for bg[1] fab017d54967c54c­c51d1b65a9de504531a4f24c
com­mu­ni­ty 1.fix is­sue of "skip of mod­ule when hp­s_­sub­sys is en­abled" 2.re­move demo code to avoid the in­flu­ence of real com­pi­la­tion 3.merge "make gen­er­ate_from_t­cl, make sof" to "make all" 056e­b060585f5884c2885736998d­b37936d8f83b
com­mu­ni­ty Add on the pin as­sign­ment and top lev­el for LPDDR4 8ee737121b8d1fd693ad363aaa3f­fe178c­c3d81b
com­mu­ni­ty Set the key for pin as­sign­ment bf86d2b1a0d­f23c73b6078f22b9ce395798c66ed
com­mu­ni­ty En­abled the emif pin as­sign­ment by quar­tus auto as­sign a107022025978b93­da5e­f17c99164158835be90e
com­mu­ni­ty demo of tem­plate that sup­port­ing ar­bi­trary user paramters pass­ing down from toplev­el. the sub­mod­ule de­vel­op­er de­cide how to use it 7f17459074db­d7d004cb018c8ee8018e­b523059a
com­mu­ni­ty Fix the ihex tar­get in the Make­file 1c613407e0875e­cae37981b22042411581cb122b
com­mu­ni­ty Fix sub­sys­tem Make­file de­pen­den­cy of macro that lead to 'make con­fig' fail­ure 309e162699f7eef08e095fc1168fd745459f0884
com­mu­ni­ty Use sub­fold­er name as sub­sys­tem name 088aa9c­c7182c738e253a02619c358815c908661
com­mu­ni­ty 1.re­move the warn­ing of 'sed: can't read build/con­fig' 2.re­move print the make path 48ac46d­ce45f088e­b94d66bec4b­d11406fd­db90d
com­mu­ni­ty Fix the ihex tar­get name db8c3b7c883182e8b­b9a30e220e7c7e54b3­cab5f
com­mu­ni­ty Re­name the ihex name from hp­s_de­bug to hp­s_wipe bf­b174a177b0453965c939582682278d46a63154
com­mu­ni­ty Re­name the ihex file name from make­file 6e4cf0ae22060240b31f7c1220fc3fde90e680a3
com­mu­ni­ty Re­solved merge er­rors c49789af3a9b­d1643355349a44a80ad5ce­ce7a78
com­mu­ni­ty En­abled the ihex file for SM 564589c2fe68d18d42­fa4b­d4d­d­ce0916­da150e26
com­mu­ni­ty For old make­file flow c9f048ad45147452007c­c305ec70af86c55536fb
com­mu­ni­ty For old make­file flow 078a71­da72433a16c8826b6cb4538ce05d609a1b
com­mu­ni­ty Create a new branch for new make­file flow 6c92c615e­f59a989122d25e49b2b8650f3fcbf4f
com­mu­ni­ty Fix TCL link­age to need­ed files, repo­si­tion Make­file.new as de­fault, en­able HPS_EMIF as de­fault on. 897a44b7d­c164795a0b9bc5f796356499d9c­c1ef
com­mu­ni­ty New make­file flow 8889cf7a207264ede0b88069b­d2a7d252838d211
com­mu­ni­ty New make­file flow -re­move the ex­tra tcl file f4b0169f010691b0a6­ca25d893d5851b6a727e95
com­mu­ni­ty Re­moved the XCVR as­sign­ment f65725cfd795706e77948942fd­ba7a824556598a
com­mu­ni­ty En­abled the pin as­sign­ment by quar­tus 1527a­cafe1cba95805cbee28d82535053c­c41364
com­mu­ni­ty new make­file flow: 1ffd­c08ae680c4d53ea9312a8e4888f8ecbe6f88
com­mu­ni­ty new make­file flow -add on the jtag sub­sys and pe­riph sub­sys ce13fd4d460e4a3­dad2d­c16cf­f8a2433c­c31999c
com­mu­ni­ty add de­pan­dan­cy of gen­er­ate_qs­f_qpf & gen­er­ate_­top" be­fore com­pil­ing the sub­mod­ules. fbe4873840ad4f6ab4063021677d7aa67ecf7609
com­mu­ni­ty new make­file flow: 96c­da29030e0d10cb11b5104007d­d8d724116c8d
com­mu­ni­ty new make­file flow: c0f871945a324fd­be08d­fcf­b375668b231165788
com­mu­ni­ty Up­date the EMIF IP pa­ra­me­ter­i­za­tion f6e3b7db­d1b3a5b6f8cd54193bf609ec­cfd143dc
com­mu­ni­ty new make­file flow dev: 1).sup­port con­fig­ur­ing com­pi­la­tion from CLI (see make help) 2).sup­port con­fig­ur­ing com­pi­la­tion from con­fig file 3).sup­port con­fig pa­ra­me­ters auto-recog­ni­tion. 4).sup­port sub­mod­ule con­fig 663e03b063b7c03f739b­d8e513f63cd0acffd61f
com­mu­ni­ty Up­date the hps in­ter­face port name fe0ff­b328f32f62c99c4ec213ce141489f0f3e9f
com­mu­ni­ty Mod­i­fi­ca­tion of EMIF HPS IP 4b660f4f4b8157e5acea633180a7d68e99abbf94
com­mu­ni­ty Mod­i­fi­ca­tion on EMIF HPS IP and pin as­sign­ment 7c239a887bc06ce923e211127c89fd­c10ab15b76
com­mu­ni­ty Up­date the pa­ra­me­ter­i­sa­tion of EMIF HPS IP 31726e70f4f79896cd23ba09465b79a906a66b59
com­mu­ni­ty Re­place the emif ip with emif hps ip 537b1e3e08e79a0069e4bad6b4a12b5d­d04acd74
com­mu­ni­ty Ini­tial draft for adding EMIF IP 3664f6b9e977fe48e88d2d1d889b1151aac4c125
com­mu­ni­ty Add con­di­tion­al in­ser­tion of hp­s_­sub­sys clock & re­set bridges when­ev­er hp­s_emif ex­ist ec6c78e326e­ab2e4b5e977c308f3eaec74a6494b
com­mu­ni­ty En­able in­di­vid­ual HPS SUBSYS con­struc­tion. When adding the staged Make­file flow, please com­ment fol­low­ing line from cre­ate_ghrd_qsys.tcl "source ./hp­s_­sub­sys/con­struc­t_­sub­sys_h­ps.tcl" sub fold­er Make­file shall cre­ate the sub­sys­tem qsys de­pen­den­cy b7d59338d64e3500f820b80d2cf3c476b9988bff
com­mu­ni­ty Mod­i­fi­ca­tion of SM GHRD df53f6cd33090c9f1353814f51564b566f2110ed
com­mu­ni­ty up­date place­hold­er for hos sub­sys in­de­pen­dent sub­mod­ule cre­ation. To be up­dat­ed lat­er with work­ing con­tent d4d493168349409a020230c35767c9de1641fc8f
com­mu­ni­ty Fix hps pin­mux and solver for SDMMC and I3C. OOBE DC com­pi­la­tion suc­ceed b53e­b0bcfd­f04b0933bc92d2a06fd­be54a1f3e2d
com­mu­ni­ty Dis­able I2C ex­port to re­solve com­pi­la­tion er­ror 78b­d8fe966f20001a18a30d­c022056f607f91f31
com­mu­ni­ty Dis­able I2C ex­port to re­solve com­pi­la­tion er­ror 11687e84bc­cd08ab3a5d­d2045465c059e8319b16
com­mu­ni­ty con­struct in­di­vid­ual clock and re­set for AXI Bridges ex­port. Pend­ing F2S bridge. 58662d73a857b3­fa­ca920f­f14c476f2747f30084
com­mu­ni­ty To pre­serve cus­tom_ip fold­er dur­ing com­pi­la­tion 035d74582e3694823ace7f5ce­bceed039­ca8841c
com­mu­ni­ty Ini­tial draft for suc­cess com­pi­la­tion with OOBE2 DC con­fig­u­ra­tion. Workaround in­clud­ed tem­po­rary on SDMMC & I3C HPS IO place­ment is­sues da1bab043d8bf­f7d9f60956e1c3958d32a226691
com­mu­ni­ty Mod­i­fi­ca­tion of SM GHRD a1b1f­b9848f­bf08f293­da940a0e­f7833a6777651
com­mu­ni­ty Mod­i­fi­ca­tion of SM GHRD b4b1e35af1941bf1809316b8e1a7b537d04804f1
com­mu­ni­ty Mod­i­fi­ca­tion of SM GHRD d2e194d8031f­b002af75e­f53e523876e­f52c5298
com­mu­ni­ty Mod­i­fi­ca­tion of SM ghrd bb9a7969637de41de992d079346d67def­b60d­cf6
com­mu­ni­ty Mod­i­fi­ca­tion of SM GHRD f68e740f114a1cf3e1364f3b17deaa7014d2113c
com­mu­ni­ty Mod­i­fi­ca­tion of SM GHRD script 9d5f­f3d3bc4fcfe2e1247461ea5f38e70f59e­ca2
com­mu­ni­ty SM soc de­vk­it GHRD build script d1a914­da161a7b1f2f­ca22de12­fab9c86acb­fabc

Updates and Upgrades
Kernel and Bootloader Updates

15 November 2023 | Findlay Shearer

Ker­nal and Boot­loader Up­dates

Repo name: lin­ux-socf­p­ga Branch name: socf­p­ga-6.1.38-lts Tag name: rel_­socf­p­ga-6.1.38-lt­s_23.11.01_pr
ID Com­ment GIT Com­mit
HSD #15014165241 fpga: al­tera-cvp: ag­ilex5 sup­port 50b63a962d02612e22885642c08e2d60b404f391
com­mu­ni­ty HSD#15014543910: firmware:stratix10-svc: Fix Cover­i­ty warn­ings a87d­bc02a4c5a592a7f5b4f4e5e00a0923849c88
com­mu­ni­ty HSD#14015549956: firmware: stratix10-svc: En­able us­age of SMMU for SVC dri­ver d0e­be290551fec­ca­d51e340961e07306bc9a3f0f
com­mu­ni­ty HSD#15014517890: firmware: stratix10-svc: Fix seg­men­ta­tion fault dur­ing rm­mod op­er­a­tion 18bfe580­ca81500f70845ec0b­d4fe­f38e5­cac926

Repo name: u-boot-socf­p­ga Branch name: socf­p­ga_v2023.04 Tag name: rel_­socf­p­ga_v2023.04_23.11.01_pr
ID Com­ment GIT Com­mit
HSD #15014505415 ddr: al­tera: iossm: Poll emif ready sig­nal for sec­ond port e116d3e605e8204696ec19215ac­c­c6f2e945464e
HSD #22016711608 ddr: al­tera: iossm: Read emif_ready sig­nal be­fore ac­cess­ing IO96B CSR df1d63ec80e­b6bcb­ca469b­fa57330e6a901eed6a

Repo name: meta-in­tel-fpga-refdes Branch name: mas­ter Tag name: rel_­mas­ter_23.11.01_pr
ID Com­ment GIT Com­mit
com­mu­ni­ty uboot.txt: fix QSPI mt­d­parts re­nam­ing into NAND tar­get 797a7e5819f3ec27d0fd4ed6304bf­f535f9cf7­ca
com­mu­ni­ty Rev­ert "ag­ilex5_u­boot.txt: add sup­port for nand ubifs" 14705­ca19bf928b684925e847acb03777a1013e8

Updated LTS Kernel
Updated LTS Kernel to v5.15.90

13 April 2023 | Findlay Shearer

Up­dat­ed LTS Ker­nel to v5.15.90

Repo name: lin­ux-socf­p­ga Branch name: socf­p­ga-5.15.90-lts Tag name: rel_­socf­p­ga-5.15.90-lt­s_23.04.01_pr
ID Com­ment GIT Com­mit
com­mu­ni­ty an­droid: binder: stop sav­ing a point­er to the VMA 779e6c1509134d­f3a657681297de0369220b36d5
com­mu­ni­ty net/sched: tcin­dex: up­date im­per­fect hash fil­ters re­spect­ing rcu 184eb­b082f6551d6abe09677ea4­fa67165d551c8
com­mu­ni­ty NFSD: fix use-af­ter-free in __n­f­s42_ss­c_open() 8f61923942f3e1a772e2763b85128e42589e05bf
com­mu­ni­ty net: tls: fix pos­si­ble race con­di­tion be­tween do_tl­s_get­sock­op­t_­conf() and do_tl­s_set­sock­op­t_­conf() 744264426327e2c0369c1af72ce810e9ab0c043f
com­mu­ni­ty Rev­ert "ar­m64: dts: stratix10: add In­tel FPGA Quad Speed Eth­er­net for Stratix10" c10a8909c288d­d0e­f92c8560651a913b860272aa
com­mu­ni­ty ar­m64: dts: stratix10: add In­tel FPGA Quad Speed Eth­er­net for Stratix10 381bafe7­da31e11ac0f2cb37a38523952adae4af
com­mu­ni­ty HSD-15012124940: En­hanced re­turn codes of INTEL_FCS_DEV_SEND_CERTIFICATE to dif­fer­en­ti­ate be­tween non-pro­vi­sioned de­vice and au­then­ti­ca­tion fail 6b5903e5523897468cd0b3b3b40cb8e44f1fceee

Repo name: u-boot-socf­p­ga Branch name: socf­p­ga_v2022.10 Tag name: rel_­socf­p­ga_v2022.10_23.04.01_pr
ID Com­ment GIT Com­mit
HSD #15012965144 doc: README.socf­p­ga: Add FPGA full re­con­fig­u­ra­tion flow 61ae22e548eb­da525d5216d107e45f20e­ca70537
HSD #15012954777-5 arm: socf­p­ga: soc64: Restruc­ture F2S dis­able func­tion 1d­da7c081ee51d6c4b52d2e­f773464b745f­b9ec0
HSD #15012954777-4 arm: socf­p­ga: soc64: clean up wait for sta­tus codes 66f3f251b2d5767c2c4ab­d85e01d­f415e6c5bfe7
HSD #15012954777-3 arm: socf­p­ga: soc64: Clear F2S force drain 45a62a422a3d­b7fcf0636e4ad95e12354e719189
HSD #15012954777-2 arm: socf­p­ga: soc64: Clear MPFE idle NoC re­quest 775e01b091c58f6d4d6551e­f2f194d8b6c0­ca8bb
HSD #15012954777-1 arm: socf­p­ga: soc64: Dis­able F2S bridge e870a2ee57e102de19f9f0283033b3336a54f8a3

Repo name: arm-trust­ed-firmware Branch name: socf­p­ga_v2.8.0 Tag name: rel_­socf­p­ga_v2.8.0_23.04.01_pr
ID Com­ment GIT Com­mit
com­mu­ni­ty README: up­date socf­p­ga_v2.8.0 re­lease note 707f17d5e144d­d14b49d­f96982d­b0e6683fc916d
com­mu­ni­ty README: socf­p­ga_v2.8.0 re­lease note b9fd880f2cd877ae4d35ab6c062e8c9a51bbee0f
com­mu­ni­ty fix(in­tel): Flash dcache be­fore mmio read 7e6500521ed58af­f99ada01a970390b9a7d18960
com­mu­ni­ty fix(in­tel): Fix the point­er of block mem­o­ry to fill in and bytes be­ing set 11b92a11f5b8de088e806555cb911c­c0b9d7d57f

Repo name: ghrd-socf­p­ga Branch name: mas­ter Tag name: rel_­mas­ter_23.04.01_pr
ID Com­ment GIT Com­mit
com­mu­ni­ty Add the waiv­er for Etile de­sign ad7755a3b0738e­b522e2d­d07d­f8f­fa0bbea7a5a6
com­mu­ni­ty En­abled the false path for de­bouced and re­set syn­chro­niz­er d52d6530b1c3f92f105912c5aa95d5611b­d7a16d
com­mu­ni­ty Dis­able Low Pow­er mode on qsf­pdd mod­ule b0cd­c9381d8343bf22f6e11ae9e143c99c0d­b8a9

Repo name: fc­s_apps Branch name: fc­s_­client Tag name: rel_fc­s_­clien­t_23.04.01_pr
ID Com­ment GIT Com­mit
com­mu­ni­ty HSD-15012124940: En­hanced re­turn codes of INTEL_FCS_DEV_SEND_CERTIFICATE to dif­fer­en­ti­ate be­tween non-pro­vi­sioned de­vice and au­then­ti­ca­tion fail (#6) f17a5128bc72d0a9327400e567d33d6d3d5c5a16

Repo name: gsrd_­socf­p­ga Branch name: mas­ter Tag name: rel_­mas­ter_23.04.01_pr
ID Com­ment GIT Com­mit
com­mu­ni­ty build.sh: add bit­bake de­vice-tree ex­cep­tion han­dling 61355944b6b­b207e­f4f6c3279ee543ed5d2d­b755

LTS Kernel Update
LTS Kernel Update

22 March 2023 | Findlay Shearer

Long Term Sup­port Ker­nal Up­date

Repo name: lin­ux-socf­p­ga Branch name: socf­p­ga-5.15.80-lts Tag name: rel_­socf­p­ga-5.15.80-lt­s_23.03.02_pr
ID Com­ment GIT Com­mit
HSD #14018779058 fix er­ror han­dling when call stratix10_svc_al­lo­cate_mem­o­ry 04b5d­fac48be­f2fe9­dad81b549c480708f3e4ab1
com­mu­ni­ty ALSA: pcm: Move rwsem lock in­side snd_ctl_elem_read to pre­vent UAF 9b­d75a914c27ea9a85e6aa007c406ce01d­bc7­dac
com­mu­ni­ty net­fil­ter: nft_­pay­load: in­cor­rect arith­metics when fetch­ing VLAN head­er bits a70e01e­be7441c56ee49b­d­d85ad­b07f713bc8c3c

Repo name: lin­ux-socf­p­ga Branch name: socf­p­ga-5.15.90-lts Tag name: rel_­socf­p­ga-5.15.90-lt­s_23.03.02_pr
ID Com­ment GIT Com­mit
HSD #14018779058 fix er­ror han­dling when call stratix10_svc_al­lo­cate_mem­o­ry 5d086f5f­f504662ee8a49b0165a38a18ed6df­b18

Repo name: u-boot-socf­p­ga Branch name: socf­p­ga_v2022.10 Tag name: rel_­socf­p­ga_v2022.10_23.03.02_pr
ID Com­ment GIT Com­mit
HSD #14016045851 misc: socf­p­ga_se­creg: Fix com­pi­la­tion warn­ing f2d791e2153af5902d0951c08e7d5672f­b68a0c9

Linux Kernal Upgrade to v6.1
Linux Kernal Upgrade to v6.1

02 March 2023 | Findlay Shearer

Lin­ux Ker­nal Up­grade to v6.1

New branch added: Repo name: lin­ux-socf­p­ga Branch name: socf­p­ga-6.1 Tag name: rel_­socf­p­ga-6.1_23.03.01_pr

Repo name: lin­ux-socf­p­ga Branch name: socf­p­ga-5.15.80-lts Tag name: rel_­socf­p­ga-5.15.80-lt­s_23.03.01_pr
ID Com­ment GIT Com­mit
com­mu­ni­ty ar­m64: dts: socf­p­ga: change ad­dress-cells to sup­port 64-bit ad­dress­ing dac­c5e3e­b584327cf008331e91f80a875a5af908
com­mu­ni­ty proc: proc_skip_­spaces() shouldn't think it is work­ing on C strings db99d70fe8d7c2d9d350c52bc0ce4708a9040176

Repo name: meta-in­tel-fpga-refdes Branch name: mas­ter Tag name: rel_­mas­ter_23.03.01_pr
ID Com­ment GIT Com­mit
com­mu­ni­ty hw-ref-de­sign: up­date GHRD 23.1 RBF sha256­sum 3e3c5716e­f9f5464b9f57479ab144d905d09ae50
com­mu­ni­ty u-boot-socf­p­ga: Re­move f2s­dram ac­cess to ddr patch 4a854866273494d417247b­b219d214­da5e­f6f27b

© 1999-2024 RocketBoards.org by the contributing authors. All material on this collaboration platform is the property of the contributing authors.

Privacy Policy - Terms Of Use

This page was cached on 09 May 2024 - 02:09.
This website is using cookies. More info. That's Fine